Lines Matching refs:underflow

964 # underflow exception. Since this is incorrect, we need to check
966 # no underflow was called for. We do these checks only in
1390 btst &unfl_bit,FPSR_EXCEPT(%a6) # did disabled underflow occur?
1722 # underflow can happen for extended precision. extended precision opclass
1968 btst &unfl_bit,FPSR_EXCEPT(%a6) # did disabled underflow occur?
4205 # or underflow that was disabled, then we have to force an overflow or
4206 # underflow frame. the eventual overflow or underflow handler will see that
4235 # insert an underflow frame
5309 # here, the operation may underflow iff the precision is sgl or dbl.
6971 # extended-precision numbers whose square over/underflow #
6973 # inexact together with either overflow or underflow. #
8982 # generate underflow by Tiny * Tiny. #
9468 # exponents out of range for single or double DO NOT cause underflow
9566 # >= 2^14, an overflow or underflow is returned. #
10162 # - we only return the EXOP here if either underflow or #
11530 # unf_res() - return default underflow result #
11762 # - the result of the multiply operation is an underflow.
11870 # we still don't know if underflow occurred. result is ~ equal to 2. but,
12027 # unf_res() - return default underflow result #
12347 # unf_res() - return default underflow result #
12638 # we still don't know if underflow occurred. result is ~ equal to 1. but,
13074 # the move in MAY underflow. so...
13420 # unf_res() - calculate underflow result #
13473 # precision selected is extended. so...we can not get an underflow
13508 # the input is an extended DENORM and underflow is enabled in the FPCR.
13541 cmpi.l %d0,&0x3fff-0x3f80 # will move in underflow?
13542 bge.w fabs_sd_unfl # yes; go handle underflow
13548 # operand will NOT overflow or underflow when moved in to the fp reg file
13584 cmpi.l %d0,&0x3fff-0x3c00 # will move in underflow?
13585 bge.b fabs_sd_unfl # yes; go handle underflow
13592 # operand WILL underflow when moved in to the fp register file
13599 # if underflow or inexact is enabled, go calculate EXOP first.
13613 # operand will underflow AND underflow is enabled.
13952 # an exception. If so, return the default overflow/underflow result #
13991 bgt.w fsglmul_unfl # result will underflow
14165 # we still don't know if underflow occurred. result is ~ equal to 2. but,
14293 # an exception. If so, return the default overflow/underflow result #
14336 cmpi.l %d0,&0x3fff-0x0000 # will result underflow?
14338 bgt.w fsgldiv_unfl # yes; go handle underflow
14480 # the divide operation MAY underflow:
14497 fbgt.w fsgldiv_normal_exit # no; no underflow occurred
14498 fblt.w fsgldiv_unfl # yes; underflow occurred
14502 # we don't know if the result was an underflow that rounded up to a 1
14520 fbge.w fsgldiv_normal_exit # no; no underflow occurred
14521 bra.w fsgldiv_unfl # yes; underflow occurred
14692 cmp.l %d2,(tbl_fadd_unfl.b,%pc,%d1.w*4) # is it an underflow?
14841 # underflow that rounded up.
14846 beq.w fadd_normal # yes; no underflow occurred
14850 bne.w fadd_normal # no; no underflow occurred
14853 bne.w fadd_normal # no; no underflow occurred
14856 beq.w fadd_normal # no; no underflow occurred
14863 # now, we must determine whether the pre-rounded result was an underflow
14885 bra.w fadd_normal # no; it's not an underflow
15145 cmp.l %d2,(tbl_fsub_unfl.b,%pc,%d1.w*4) # is it an underflow?
15294 # underflow that rounded up.
15299 beq.w fsub_normal # yes; no underflow occurred
15303 bne.w fsub_normal # no; no underflow occurred
15306 bne.w fsub_normal # no; no underflow occurred
15309 beq.w fsub_normal # no; no underflow occurred
15316 # now, we must determine whether the pre-rounded result was an underflow
15338 bra.w fsub_normal # no; it's not an underflow
15508 # unf_res() - return default underflow result #
15658 # elsewise fall through to underflow.
15661 bne.w fsqrt_sd_normal # yes, so no underflow
15664 # operand WILL underflow when moved in to the fp register file
15679 # if underflow or inexact is enabled, go calculate EXOP first.
15695 # operand will underflow AND underflow is enabled.