Home
last modified time | relevance | path

Searched refs:src_mac (Results 1 – 25 of 61) sorted by relevance

123

/linux/tools/testing/selftests/tc-testing/
A Dtdc_batch.py73 def format_add_filter(device, prio, handle, skip, src_mac, dst_mac, argument
77 device, prio, handle, skip, src_mac, dst_mac, share_action))
80 def format_rep_filter(device, prio, handle, skip, src_mac, dst_mac, argument
84 device, prio, handle, skip, src_mac, dst_mac, share_action))
87 def format_del_filter(device, prio, handle, skip, src_mac, dst_mac, argument
104 src_mac = "e4:11:{:02x}:{}".format(mac_prefix, mac) variable
106 cmd = formatter(device, prio, handle_start + index, skip, src_mac,
/linux/drivers/net/ethernet/chelsio/cxgb4/
A Dsmt.c58 eth_zero_addr(s->smtab[i].src_mac); in t4_init_smt()
79 if (memcmp(e->src_mac, smac, ETH_ALEN) == 0) in find_or_alloc_smte()
164 memcpy(req->src_mac1, e->src_mac, ETH_ALEN); in write_smt_entry()
170 memcpy(req->src_mac0, s->smtab[e->idx - 1].src_mac, in write_smt_entry()
174 memcpy(req->src_mac0, e->src_mac, ETH_ALEN); in write_smt_entry()
180 memcpy(req->src_mac1, s->smtab[e->idx + 1].src_mac, in write_smt_entry()
195 memcpy(req->src_mac0, s->smtab[e->idx].src_mac, ETH_ALEN); in write_smt_entry()
223 memcpy(e->src_mac, smac, ETH_ALEN); in t4_smt_alloc_switching()
A Dsmt.h61 u8 src_mac[ETH_ALEN]; member
/linux/samples/bpf/
A Dxdp_router_ipv4_kern.c112 __be64 *dest_mac = NULL, *src_mac = NULL; in xdp_router_ipv4_prog() local
148 src_mac = &direct_entry->mac; in xdp_router_ipv4_prog()
161 src_mac = &prefix_value->value; in xdp_router_ipv4_prog()
162 if (!src_mac) in xdp_router_ipv4_prog()
176 if (src_mac && dest_mac) { in xdp_router_ipv4_prog()
177 set_src_dst_mac(data, src_mac, dest_mac); in xdp_router_ipv4_prog()
/linux/tools/testing/selftests/net/forwarding/
A Dmirror_gre.sh69 ingress) local src_mac=$(mac_get $h1); local dst_mac=$(mac_get $h2)
71 egress) local src_mac=$(mac_get $h2); local dst_mac=$(mac_get $h1)
78 icmp_capture_install h3-${tundev} "src_mac $src_mac dst_mac $dst_mac"
A Dtc_chains.sh131 flower src_mac 00:00:00:00:00:00/FF:FF:FF:FF:FF:FF &> /dev/null
138 flower src_mac $h2mac action drop &> /dev/null
142 flower src_mac $h2mac action drop
A Dtc_mpls_l2vpn.sh85 action vlan push_eth dst_mac $mpls2mac src_mac $mpls1mac \
114 action vlan push_eth dst_mac $mpls1mac src_mac $mpls2mac \
/linux/tools/testing/selftests/bpf/progs/
A Dtest_tc_peer.c16 static const __u8 src_mac[] = {0x00, 0x11, 0x22, 0x33, 0x44, 0x55}; variable
51 if (bpf_skb_store_bytes(skb, 0, &src_mac, ETH_ALEN, 0) != 0) in tc_src_l3()
/linux/tools/testing/selftests/drivers/net/mlxsw/
A Ddevlink_trap_acl_drops.sh99 flower src_mac $h1mac action pass
124 flower src_mac $h1mac action pass
A Drif_mac_profiles.sh119 flower skip_sw src_mac $smac ip_proto udp src_port 12345 \
152 flower skip_sw src_mac $rp1_mac ip_proto udp src_port 54321 \
/linux/drivers/infiniband/hw/bnxt_re/
A Dqplib_sp.c354 req.src_mac[0] = cpu_to_be16(((u16 *)smac)[0]); in bnxt_qplib_add_sgid()
355 req.src_mac[1] = cpu_to_be16(((u16 *)smac)[1]); in bnxt_qplib_add_sgid()
356 req.src_mac[2] = cpu_to_be16(((u16 *)smac)[2]); in bnxt_qplib_add_sgid()
406 req.src_mac[0] = cpu_to_be16(((u16 *)smac)[0]); in bnxt_qplib_update_sgid()
407 req.src_mac[1] = cpu_to_be16(((u16 *)smac)[1]); in bnxt_qplib_update_sgid()
408 req.src_mac[2] = cpu_to_be16(((u16 *)smac)[2]); in bnxt_qplib_update_sgid()
/linux/drivers/net/ethernet/qlogic/qed/
A Dqed_fcoe.c931 con->src_mac_addr_hi = (conn_info->src_mac[5] << 8) | in qed_fcoe_offload_conn()
932 conn_info->src_mac[4]; in qed_fcoe_offload_conn()
933 con->src_mac_addr_mid = (conn_info->src_mac[3] << 8) | in qed_fcoe_offload_conn()
934 conn_info->src_mac[2]; in qed_fcoe_offload_conn()
935 con->src_mac_addr_lo = (conn_info->src_mac[1] << 8) | in qed_fcoe_offload_conn()
936 conn_info->src_mac[0]; in qed_fcoe_offload_conn()
/linux/drivers/net/ethernet/mediatek/
A Dmtk_ppe.c143 u8 pse_port, u8 *src_mac, u8 *dest_mac) in mtk_foe_entry_prepare() argument
180 l2->src_mac_hi = get_unaligned_be32(src_mac); in mtk_foe_entry_prepare()
181 l2->src_mac_lo = get_unaligned_be16(src_mac + 4); in mtk_foe_entry_prepare()
A Dmtk_ppe.h273 u8 pse_port, u8 *src_mac, u8 *dest_mac);
/linux/drivers/net/ethernet/broadcom/bnxt/
A Dbnxt_vfr.c448 static void bnxt_vf_rep_eth_addr_gen(u8 *src_mac, u16 vf_idx, u8 *mac) in bnxt_vf_rep_eth_addr_gen() argument
452 ether_addr_copy(mac, src_mac); in bnxt_vf_rep_eth_addr_gen()
454 addr = jhash(src_mac, ETH_ALEN, 0) + vf_idx; in bnxt_vf_rep_eth_addr_gen()
/linux/include/linux/qed/
A Dqed_fcoe_if.h42 u8 src_mac[ETH_ALEN]; member
/linux/drivers/net/ethernet/intel/ice/
A Dice_tc_lib.h46 u8 src_mac[ETH_ALEN]; member
A Dice_tc_lib.c250 l2_key->src_mac); in ice_tc_fill_rules()
252 l2_mask->src_mac); in ice_tc_fill_rules()
909 ether_addr_copy(headers->l2_key.src_mac, in ice_parse_cls_flower()
911 ether_addr_copy(headers->l2_mask.src_mac, in ice_parse_cls_flower()
A Dice_fdir.h151 u8 src_mac[ETH_ALEN]; /* src MAC address */ member
/linux/tools/testing/selftests/bpf/
A Dxdpxceiver.h143 u8 src_mac[ETH_ALEN]; member
A Dxdpxceiver.c204 memcpy(eth_hdr->h_source, ifobject->src_mac, ETH_ALEN); in gen_eth_hdr()
1294 static void init_iface(struct ifobject *ifobj, const char *dst_mac, const char *src_mac, in init_iface() argument
1301 memcpy(ifobj->src_mac, src_mac, ETH_ALEN); in init_iface()
/linux/net/core/
A Dpktgen.c378 unsigned char src_mac[ETH_ALEN]; member
618 is_zero_ether_addr(pkt_dev->src_mac) ? in pktgen_if_show()
619 pkt_dev->odev->dev_addr : pkt_dev->src_mac); in pktgen_if_show()
1553 if (!mac_pton(valstr, pkt_dev->src_mac)) in pktgen_if_write()
1556 ether_addr_copy(&pkt_dev->hh[6], pkt_dev->src_mac); in pktgen_if_write()
1558 sprintf(pg_result, "OK: srcmac %pM", pkt_dev->src_mac); in pktgen_if_write()
2159 if (is_zero_ether_addr(pkt_dev->src_mac)) in pktgen_setup_inject()
2421 tmp = pkt_dev->src_mac[5] + (mc & 0xFF); in mod_cur_headers()
2423 tmp = (pkt_dev->src_mac[4] + ((mc >> 8) & 0xFF) + (tmp >> 8)); in mod_cur_headers()
2425 tmp = (pkt_dev->src_mac[3] + ((mc >> 16) & 0xFF) + (tmp >> 8)); in mod_cur_headers()
[all …]
/linux/drivers/scsi/qedi/
A Dqedi_iscsi.h72 u8 src_mac[ETH_ALEN]; member
/linux/drivers/net/ethernet/brocade/bna/
A Dbfa_defs_cna.h181 u8 src_mac[ETH_ALEN]; member
/linux/drivers/net/ethernet/intel/i40e/
A Di40e_virtchnl_pf.c3396 if (mask.src_mac[0] & data.src_mac[0]) { in i40e_validate_cloud_filter()
3397 if (is_broadcast_ether_addr(data.src_mac) || in i40e_validate_cloud_filter()
3398 is_zero_ether_addr(data.src_mac)) { in i40e_validate_cloud_filter()
3400 vf->vf_id, data.src_mac); in i40e_validate_cloud_filter()
3549 cfilter.src_mac[i] = mask.src_mac[i] & tcf.src_mac[i]; in i40e_vc_del_cloud_filter()
3608 if (!ether_addr_equal(cf->src_mac, cfilter.src_mac)) in i40e_vc_del_cloud_filter()
3683 cfilter->src_mac[i] = mask.src_mac[i] & tcf.src_mac[i]; in i40e_vc_add_cloud_filter()

Completed in 67 milliseconds

123