Home
last modified time | relevance | path

Searched refs:DFL_FPGA_PORT_RESET (Results 1 – 3 of 3) sorted by relevance

/linux/include/uapi/linux/
A Dfpga-dfl.h67 #define DFL_FPGA_PORT_RESET _IO(DFL_FPGA_MAGIC, DFL_PORT_BASE + 0) macro
/linux/Documentation/fpga/
A Ddfl.rst154 - Reset AFU (DFL_FPGA_PORT_RESET)
160 DFL_FPGA_PORT_RESET:
/linux/drivers/fpga/
A Ddfl-afu-main.c432 case DFL_FPGA_PORT_RESET: in port_hdr_ioctl()

Completed in 6 milliseconds